Filtre

Son aramalarım
  • flash drag drop necklace
  • www music india old hindi song com
  • flash drag drop shopping
  • human resource management pdf
  • logo design simple
Şuna göre filtrele:
Bütçe
ile
ile
ile
Tür
Beceriler
Diller
İş Durumu
2,000 flash drag drop necklace iş bulundu

We’re on the hunt for a talented typographer/letterer or font enthusiast to design a stunning chalkboard-style menu for our busy café. If you love classic typefaces, hand-drawn embellishments, and crafting clever compositions, we want to hear from you! What We’re Looking For: ✅ A designer skilled in chalkboard typography – mixing old-school t...Swirls, dividers, or arrows to connect elements. Rather than Icons & Sketches – Coffee cups, utensils, juices. ✅ Proficiency in Adobe Illustrator (not Photoshop) – the final menu must be print-ready quality. ✅ Experience in menu design or similar illustrative lettering work is a plus! If you have a knack for bringing words to life with typography and illustration, we’d love to see your portfolio. ...

€14 / hr Average bid
€14 / hr Ortalama Teklif
15 teklifler

...Print-on-demand service for diverse product options. - Tapita Page Builder Drag-and-drop page-building tool for designing and customizing Shopify pages. - Tapita SEO & Speed Optimization tool for SEO and website performance. - Track123 Provides order tracking for customers. - Instafeed Integrates Instagram feeds directly into your Shopify store. - TOPR - Spreadconnect connects to print-on-demand and supplier services for product management. - Bill Pay Payment management tool for vendors or internal purposes. - Sesami Booking tool for services or scheduling appointments. - Klaviyo Email marketing and SMS communication platform. - PageFly Page Builder Advanced page builder for creating custom Shopify pages with drag-and-drop tools. - Search & ...

€543 Average bid
€543 Ortalama Teklif
133 teklifler

I need someone to adjust a series of drone shots for 9 products. Each product has 36 PNGs. Due to varying distances from the subject, these ...provide specific guidelines for the adjustments. - Alignment: The images should be processed in such a way that when all 36 images are combined, they create a seamless 360-degree spin of the product. If the first product is completed in a timely manner, there will be 26 more to adjust. Timeliness and precision are key for this task. on this product look for the 360 thumbnail and then click and drag with your mouse left and right to see

€24 Average bid
€24 Ortalama Teklif
44 teklifler

...be copied from some other ecommerce portal • Admin panel management on - customer communication, uploading pictures based on category, gifts uploading/deletion/hide on gift page portal, coupons creation/deletion/hide, category creation/deletion/uploading/hide, price change option for individual purchase page and combo pages which will be independent of each pages, enable and disable combo pages, flash messages for every occasion in PNG/jpg format, orders tracking and printing, google analytics, Reports, pushing orders from admin panel to shipping delivery partners, stock maintenance, billing details(which can be sent to customer on phone and email) & few other features if any as per the tracker • Combo pages with conditions as explained high level in walk-through an...

€308 Average bid
€308 Ortalama Teklif
40 teklifler

...phone for another 5 seconds Video 2 (Scanning and annotating a document): 1. Hold the document in your hand in and move your phone to simulate taking a picture of it 2. Simulate pressing the shutter button 3. Wait 2-3 seconds 4. Simulate pressing an icon in the lower right area of the screen (Where the tab bar usually is) 5. Then simulate pressing an icon in the lower left area of the screen 6. Drag your finger on the screen from the middle of the screen to the lower left area of the screen 7. Tap the lower right area of the screen (tab bar) 8. Hold the phone for another 5 seconds Video 3 (Handwritten note): 1. Place the handwritten note on the table and simulate taking a picture of it 2. Simulate pressing the shutter button 3. Wait three seconds 4. Simulate pressing an ic...

€20 Average bid
€20 Ortalama Teklif
11 teklifler

...simplifying insurance claims and appeals, requires a skilled developer to enhance its features and launch it on the web. The initial market focus is Norway, where the platform will provide access to insurance terms and conditions and leverage rulings from the Norwegian Financial Complaints Board (Finansklagenemnda) as a reference source for similar cases. Users will have the ability to drag and drop claim rejection letters, receiving real-time guidance on how to improve their appeals and submit them effectively. The ultimate goal is to help users better understand their insurance coverage and increase their chances of successfully resolving claims. Progress so far: Created a backend endpoint using Flask to handle requests. Tested the OpenAI integration, confirmi...

€42 / hr Average bid
Acil
€42 / hr Ortalama Teklif
101 teklifler

I'm seeking a developer to create a tool that can flash USDT on BNB. The primary function of this tool is to mimic real-time trading activities. Key requirements: - The tool should be compatible with mobile platforms. - Experience in transaction testing, trade simulation, and tool development is essential. - A strong understanding of trading mechanics and mobile development is highly desirable. This project is an exciting opportunity for a skilled developer with a passion for trading and technology. The goal is to create a comprehensive, user-friendly tool that can simulate real-time trading on a mobile platform.

€2383 Average bid
€2383 Ortalama Teklif
4 teklifler

I am looking for a freelancer to help me develop my first mobile app will be built from scratch using Java for development and SQL for database management. It will function similarly to Splitwise, allowing users to split expenses, track balances, and manage group payments efficiently. Project Details: App Name: Name can be discussed later. Platform: Android (to be publi...project, I will share you the document and you can have a breif look. I also want your Idea in , how can we unqiuely build , Carefully understand - what I want is a similar app like splitwise NOT exactly same. I want someone in setting up / designing / backend / uploading the application in the app store / error handling. I have a budget of 40$ for the whole project. If anyone interested , drop a msg.

€228 Average bid
€228 Ortalama Teklif
18 teklifler

...of challenges today. The paper should be well-researched, data-driven, and professionally written, interview quotes from leaders in organization, covering: - GenAI maturity model for organizations and where are big pharma on this journey today. - How GenAI can positively impact these organizations. Future trends and potential advancements. - What are the challenges in scaling and where does most drop off happen in adoption of GenAI analytics tools in commercial excellence. Ethical challenges (privacy, bias, regulations). Challenges can technical, people, organizational, ethical, etc. - How can organizations overcome the challenges and harness the power of genAI to unlock great potential. Requirements: Experience in technical and Pharma commercial excellence research writing. S...

€151 Average bid
€151 Ortalama Teklif
11 teklifler

I'm in need of a seasoned PCB designer to design a 4-layer PCB for Digital Signal Processing utilizing an STM32H7 microcontroller. The PCB must incorporate several key components and features: - A TFT display interface - Connections for an external 64MB SDRAM (using fmc) and a NAND Flash storage - An external 24bit-192kHz I2S audio codec for A/D signal processing (e.g. PCM3060PWR) - parametric rotary encoders, power/status led, basics io breakouts The design should ensure: - Separation of analog and digital traces to prevent crosstalk and jitters - Implementation of additional low-pass filters at input and output peripherals - Inclusion of SWD pinouts for debugging purposes - Series termination resistors for signal integrity - Impedance matching traces for high-speed signals ...

€345 Average bid
€345 Ortalama Teklif
13 teklifler

I need a Chrome extension developed that can track price history, compare prices from different websites and alert me when prices drop. The extension should be able to monitor platforms like Amazon, Flipkart and others for price changes. Ideal Skills: - Proficiency in Chrome Extension development - Experience with price tracking and comparison tools - Understanding of e-commerce platforms like Amazon and Flipkart The extension should be: - Exclusively compatible with Chrome - User-friendly with timely and accurate alerts for price drops. - Should have AI features.

€119 Average bid
€119 Ortalama Teklif
3 teklifler

...execute buy and sell orders across different chains. Bonus: Utilization of flash loans for capital efficiency is a significant advantage. Requirements & Deliverables: 1. API for Arbitrage Detection • Fetch real-time price data from multiple DEXs on different chains. • Calculate arbitrage opportunities based on fees, slippage, and gas costs. • Identify profitable trade routes between DEXs and chains. • Provide API endpoints to expose detected opportunities in a structured format (e.g., JSON). 2. Smart Contract for Trade Execution • Execute buy and sell orders on different chains. • Handle cross-chain asset transfers efficiently. • Optimize for gas fees and implement slippage protection. • Integrate flash loans (if poss...

€868 Average bid
€868 Ortalama Teklif
31 teklifler

I'm looking for an expert web designer with a deep understanding of user experience principles to help me redesign my website. The primary objective of this project is to enhance the overall user experience, with a specific emphasis on improving the navigation system of the site. The ideal professional for this project should have: -Ability to code and not solely rely and drag and drop software - Extensive experience in web design, particularly in UX-focused redesigns. - A strong portfolio showcasing previous work in improving site navigation. - Proficiency in creating simplified menu structures, enhancing search functionality, and implementing breadcrumb trails. Please note, I'm specifically seeking additional features and a comprehensive layout redesign to impro...

€21 / hr Average bid
€21 / hr Ortalama Teklif
206 teklifler

...Twilio, Resemble AI, and Drop Cowboy to automate personalized communication workflows. Key Responsibilities: Lead Processing Automation: Configure GHL to initiate automation upon lead upload, ensuring seamless progression through the engagement pipeline. BatchSkipTracing Integration: Set up and manage the integration with BatchSkipTracing to perform skip tracing and retrieve accurate phone numbers for leads. Twilio SMS Campaigns: Develop workflows that utilize Twilio to send personalized text messages to leads with valid cell phone numbers. Resemble AI Personalization: Integrate Resemble AI to process pre-recorded voicemails, dynamically inserting lead-specific names in your voice to create personalized messages. Drop Cowboy Ringless Voicemail: Coordinate with Dro...

€1112 Average bid
€1112 Ortalama Teklif
11 teklifler

I'm in need of a talented cartoon artist with a distinct comic book style. The art will primarily be used for designing flash cards. Key Requirements: - Proficiency in comic book style cartooning. - Prior experience designing for educational or children's materials is a plus. - Ability to deliver high-quality and engaging visuals suitable for flash cards.

€97 Average bid
€97 Ortalama Teklif
17 teklifler

...feasibility study to assess the viability of developing an e-commerce app for selling kids items. This app should be compatible with both iOS and Android. The study should include: -a projected timeline and sequences of activities till lunching the app. -Recommended companies for app development with estimated costs. -Recommended potential kids items suppliers. -Evaluation for in-house warehouse vs drop shipping systems. Key Features: - User accounts and profiles: The app should allow users to create accounts and maintain profiles. - Payment gateway integration: This is crucial for facilitating secure and seamless transactions. - Product reviews and ratings: To enhance customer engagement and trust, the app should incorporate a system for product reviews and ratings. Ideal Ski...

€703 Average bid
€703 Ortalama Teklif
115 teklifler

I'm seeking a professional graphic designer to create a modern, bold, and vibrant logo and business verification certificate for my company. Both the logo and certificate should have a high-end, premium look with 3D elements similar to the provided example. Key Design Requirements: ✅ Logo Design The logo should be mode...graphic design, logo & certificate design ✔️ Ability to create high-quality, modern, and vibrant designs ✔️ Experience with 3D elements in graphic design ✔️ Strong communication skills & ability to meet deadlines ✔️ A portfolio showcasing similar work is highly preferred If you're skilled in creating realistic 3D elements, high-quality certificates, and professional logos, I’d love to hear from you! Drop your portfolio and rates in the co...

€58 Average bid
€58 Ortalama Teklif
49 teklifler

?️✍️ Construction Copywriter Wanted I'm looking for a talented copywriter who knows the ins and outs of the construction industry and keeps up with the latest trends and news. ? What You’ll Do: • Explore catchy topics and relevant issues • Write engaging blog posts for our website • Craft insightful viewpoints for top construction m...of SEO best practices • Someone who can take on occasional or monthly projects ?‍♂️ Bonus Points If You: • Have experience writing about BIM/VDC • Can adjust the tone for both technical and non-technical audiences ? Perks: • Flexible workload – occasional or regular projects • Work remotely, on your schedule • A chance to get your work featured in leading construction publications Interest...

€101 Average bid
€101 Ortalama Teklif
47 teklifler

I'm looking to have my existing spreadsheet of financial data transformed into a more functional and user-friendly tool. The project includes the creation of well-structured x-lookup tables and drop down boxes that offer dynamic options based on the data. Key tasks: - Integrating x-lookup tables with charts and scatter plots - Formulating dropdowns that adapt to the data - Enhancing the overall usability and appeal of the spreadsheet Experience with Excel, specifically with x-lookup and dropdown functions, is essential. Familiarity with creating and interpreting simple regressions is a plus, though not a primary focus. The ultimate goal is to have a spreadsheet that is not only functional, but also visually pleasing and easy to understand. I'm particularly interested ...

€32 / hr Average bid
Acil
€32 / hr Ortalama Teklif
18 teklifler

I'm looking for a proficient developer to implement live screen streaming on a Windows platform using WebRTC and Go libraries. The streaming should be accessible via a web browser and provide functionalities for mouse and keyboard control. Key functionalities: - Mouse control: The user should be able to click, drag, and scroll. - Keyboard control: The user should be able to use the keyboard as if they were at the control station. Ideal skills for this project include: - Proficiency in Go and WebRTC libraries. - Experience with implementing interactive live streaming. - Knowledge of building for web browser accessibility. I am seeking a professional with a proven track record in similar projects. The ability to deliver a seamless, interactive streaming experience is crucial.

€116 Average bid
€116 Ortalama Teklif
4 teklifler

I need a booking form for inspections to be made accessible online. Currently, I have a physical form, but I require it to be converted into an online format. Would like some drop down boxes in certain sections The booking form should collect the following information: - Client details: This includes the client's name and contact information - Address of property to be inspected - Date / time to be inspected - Agents details for access - Special requests: A section for additional notes or requirements An ideal freelancer for this project would be someone with experience in web form creation, ideally using a platform such as Google Forms, JotForm, or similar. Knowledge in web development would be a plus, should further customization be needed.

€90 Average bid
€90 Ortalama Teklif
67 teklifler

More details: What type of data will be displayed in the dashboard? analytical charts What types of analytical charts do you want in the dashboard? Bar charts, Line charts, Pie charts What specific user interactions are needed with the dropdowns in the sidebar? Filter data

€130 Average bid
€130 Ortalama Teklif
66 teklifler

...schedule so I can make further changes if needed. Key Responsibilities: - Update the existing schedule to reflect necessary changes - Adhere to a minimalist design style - Provide a Canva link for future edits - Provide design in several sizes: postcard 5.5'x4.2"; business card; flyer 8.5"x11". New schedule: Beginner Class Unlimited Pass $99 / drop in $40 Couples Class monthly $240 / drop in $70 Signature Caribbean Mix Class monthly $160 / drop in $50 Beginner classes: Tuesday 11:00 am - Latin Class (salsa, bachata, rumba, cha cha, jive, swing, merengue) Tuesday 7:15 pm - Smooth Class (waltz, tango, foxtrot) Thursday 11:00 am - Smooth Class (waltz, tango, foxtrot) Thursday 6:30 pm - Latin (salsa, bachata, rumba, cha cha, jive, swing, mereng...

€29 Average bid
€29
35 girdi

I'm seeking innovative, USA-themed hybrid swim short designs for an exclusive drop by Sweezers Apparel Co. Our brand creates NHL and team-inspired swim shorts, and this contest is aimed at showcasing a winning design while supporting a non-profit organization. Key Design Elements: - Predominantly, the design should reflect a creative integration of both the stars and stripes. - We encourage your utmost creativity in the use of the stars and stripes. Ideal Skills and Experience: - Background in apparel design, particularly swimwear. - Familiarity with USA-themed designs. - Creative ability to merge sports and national motifs. - Understanding of non-profit representation in design. Please check out our website at to grasp our brand's aesthetic. We're looking forwa...

€193 Average bid
Garantili
€193
430 girdi

I'm seeking an experienced developer to create a user-friendly, drag-and-drop email template builder akin to Topol.io. This application must be compatible with both mobile and web views, enabling users to design responsive email templates seamlessly. Key Features: - A robust drag-and-drop editor for crafting email templates - Full mobile and web compatibility for responsive designs - An intuitive UI featuring customizable components - Diverse export options for HTML email templates - Seamless integration with Message34 Customization & Export: - The email template components should allow for advanced customization, including the use of custom CSS and JavaScript - HTML email templates should be exportable in a json format Please provide your portfolio ...

€430 Average bid
€430 Ortalama Teklif
93 teklifler

I am developing an adventure game in Unity and I need a comprehensive inventory script. This script should include: - Item sorting: The ability to arrange items in a specific order. - Item stacking: The capacity to pile similar items together. - Equipment management: A system to handle and track equipment. - Pick up and drop: Functions for players to pick up and drop items. Ideal candidates for this project should have significant experience in Unity and scripting, particularly with inventory systems in adventure games. Please provide examples of relevant previous work in your proposal.

€203 Average bid
€203 Ortalama Teklif
40 teklifler
App builder
1 gün left

...locations (which could be a person or a place). Customers should provide specific details beforehand, so the job can be assigned to a driver able to handle that particular package. • Route Optimization: If a driver has multiple packages to deliver (such as a grouped batch instead of individual orders), we need a system that creates an efficient route. This route should guide the driver from one drop-off point to the next closest location, continuing this way for all deliveries. • Vendor Account Section: It’s essential to add a section where online store owners can create a profile with information such as address, type of goods sold, contact number, business name, and owner details. This feature will allow online businesses to use our delivery app to ship their ...

€475 Average bid
€475 Ortalama Teklif
65 teklifler

...first stage is concluded, we will proceed to the next stage of the project. This must be done and tested in 15 days. Key Requirements: - DESIGN AND BUILD A LASER SENDER: The laser shooter should be robust and reliable for rigorous training scenarios. the laser must be properly collimated to reach distances from 5 meters to up to 150 meters. The laser must be activated via shock sensitive and flash sensor. More info to the awarded candidate. - BODY SENSORS: These sensors should be durable and rugged to withstand tough training conditions. They need to be high in accuracy and sensitivity to track performance and provide precise feedback. The sensors must work during day at full sun light and night on any weather conditions. There should be 4 sensors on the front and 4 sensors...

€2334 Average bid
€2334 Ortalama Teklif
24 teklifler

I'm using Gemini Flash 2.0 for self-healing selectors in my web automation tasks, but I need a more generic AI that can adapt and decide on actions to take across different web interactions. The AI should be able to: - Navigate common web elements: The AI should recognize and interact with common web elements, such as those found on log-in pages, purchase pages, etc. - Perform data scraping: The AI needs to be able to scrape data from web pages, utilizing provided URL, email and password fields. It should also recognize and handle common obstacles such as CAPTCHA or reCAPTCHA. In terms of handling authentication challenges like CAPTCHA, I need the AI to be able to bypass these if possible. Ideal candidates for this project should have experience in AI development, specifica...

€114 Average bid
€114 Ortalama Teklif
19 teklifler

We have custom hardware featuring an AMD Zynq XC7Z007S-2CLG400E FPGA with ARM core. Code is stored in a QSPI Flash (IS25LP128F-JKLE). This device is currently only programmed via the JTAG connection during development and production. The only external communications channel from the sealed device is a 100Mbps Ethernet. We require the device to be programmable via that Ethernet connection. Requirements The solution provided by the freelancer will program the FPGA and ARM code in the flash such that the new code runs on reboot. • The flash programming code can be part of the core code or the FSBL. Solutions to be discussed. • If the code is part of the core code, it must be shown to fail in a recoverable way. • Transmission of the code from PC to the d...

€1577 Average bid
Gizlilik Anlaşması
€1577 Ortalama Teklif
11 teklifler

I'm in search of a talented Graphic Designer who can deliver a modern and minimalistic design aesthetic. Your role will encompass: - Creating website images including banners, product images, and icons - Designing static posts, animated posts, and reels/short videos for our social media platforms ...reels/short videos for our social media platforms Ideal candidates will have a strong portfolio showcasing web and social media design, with specific experience in creating content for Instagram, Facebook, and LinkedIn. Your creative mindset and meticulous attention to detail will be key in developing engaging and eye-catching designs. This is a full-time opportunity. If you're interested, please drop your portfolio in the comments or DM me. Tag or share with someone who m...

€30 Average bid
€30 Ortalama Teklif
104 teklifler

Project Overview: Looking for an experienced C# UWP developer to enhance the functionality of an existing inventory management application. Additionally, the proje...import/export feature for Excel and CSV files. -Fixed backend-frontend connection issues. -Well-documented code and database migration instructions. As of now the database for Bill of Materials is made for a fixed number of materials for a product and each quantity and material has its column which isn't ideal, the database has to be redesigned Additionally, for a materials page tab, there needs to be a drop-down for a 'category' section. These are the basic initials that have to be fixed. There should be a new feature of stats for a product's production (to be added) and an alert system for 'l...

€140 Average bid
€140 Ortalama Teklif
4 teklifler

? Multiplayer Game Development Build a real-time backgammon game with WebSockets & Socket.io. Develop a matchmaking system for random & friend-based games. Implement game rules, turn validation, and dice rolls. ? Game Board UI & Interactivity Create an interactive, animated backgammon board (PixiJS or ). Develop drag-and-drop checker movement & dice roll animations. ? Chat & Social Features Implement real-time in-game chat. Add a global chat lobby for matchmaking. Develop a friend system with invites & leaderboards. ? Post-Match Analysis System (AI & GNUBG) Set up GNU Backgammon on a DigitalOcean VPS. Create a Python API to send completed games to GNUBG. Display move mistakes, optimal moves, and equity graphs. ? Mobile App Development Conv...

€2845 Average bid
€2845 Ortalama Teklif
96 teklifler

I'm seeking a skilled freelancer to review my website and make necessary changes for its transformation into a no-code CMS. The ideal candidate should have extensive experience with various CMS platforms, particularly WordPress, Hos...ideal candidate should have extensive experience with various CMS platforms, particularly WordPress, Hostinger , and Squarespace. Key Responsibilities: - Conduct a comprehensive review of the current site - Propose and implement necessary updates to design, content, and functionality - Ensure seamless transformation of the site into a no-code CMS Skills & Experience: - Proficient in WordPress, Drag drop, and Squarespace - Strong understanding of website design and functionality - Excellent content management skills - Prior experience...

€80 Average bid
€80 Ortalama Teklif
30 teklifler

I'm looking for a WordPress expert who can update and make changes to 2 professional websites. They haven't been updated for over 2 years, so there are a number of bugs to work out. I'd also like to make some layout changes, modify the drop-down menu and edit the content. I'd also like the two sites to be similar in terms of layout, to make it easier to create and manage content. IF you think you have what it takes to help us, don't hesitate to contact me to discuss the details !

€15 / hr Average bid
€15 / hr Ortalama Teklif
201 teklifler

I am looking for an experienced professional to convert approximately 90 BLK format scan files from the plenum space above a drop ceiling into a detailed 3D Revit BIM model. The model should cover HVAC, electrical, and plumbing systems in high detail. The final deliverable will be a complete ceiling plan in Revit format. Key Responsibilities: - Merging BLK files and generating point clouds using Cyclone software. - Creating an intricate 3D Revit BIM model from the point cloud data. - Annotating and labeling all components in the Revit model. - Ensuring the model complies with International building codes. Ideal Skills and Experience: - Proficient in using Cyclone software for point cloud generation. - Highly skilled in Revit with a strong portfolio of BIM modeling. - Extensive ex...

€392 Average bid
€392 Ortalama Teklif
42 teklifler

I'm looking for an experienced Shopify developer to make some minor modifications on the Dawn theme. URL - - Signup, search and cart Icons should be all replaced same (inc. function) as in - Buttons shoul...search and cart Icons should be all replaced same (inc. function) as in - Buttons should be round corner inc. main blog photos - Fonts should be optimised as in - Hero image section should be same as in (1 big image that looks separate from the header) - All images should be oval corners not sharp square or rectangle. - Dropdown menu should drop when hover on it, not need to click - Custom form - Please note that we only accept to work through Freelancer.com

€73 Average bid
€73 Ortalama Teklif
123 teklifler

...organizations. Built with , Supabase, and Tailwind CSS. Features ? Multi-tenant Architecture Organization-based data isolation Role-based access control Secure file storage per organization Subdomain-based tenant routing Isolated authentication realms ? Product Management Full CRUD operations Advanced search and filtering Specifications and features tracking Image management with drag-and-drop support AI-powered product analysis ? Modern UI/UX Responsive table and card views Real-time updates Dark mode support Loading states and animations Empty state handling ?️ Security Row Level Security (RLS) Organization-specific storage paths Role-based permissions Secure file uploads SSL/TLS support Encrypted database connections ? Deployment Options Docker containerization Pl...

€29 / hr Average bid
€29 / hr Ortalama Teklif
106 teklifler

I'm looking for a freelancer to create a main page for an information security blog. The design should be modern and clean. - The site should have 4 to 5 main headings (specifically covering Security News, Tooling Guides, and Best Practices). Each heading will need to be a reactive drop down box with sub headings. - These sub headings must link to pages where I can store collections of links and information to tooling and other guides, complete with descriptions for each link. - I want the capability for 2-5 main site users, and a 3 tiered subscription system for members. The content will largely consist of articles and blogs, as well as external resources and links. The ideal candidate should have experience in building and designing interactive blogs, ideally with a foc...

€247 Average bid
€247 Ortalama Teklif
104 teklifler

... Step 1: Organize the data set, prepare the variables for standard ML algorithms. Make sure the data set is organised and prepared (scaling, normalization, cleaning, etc. done) after a careful Exploratory Data Analysis(EDA) process. The details of the analysis of the model and the related code are to be decided via team discussion. You are free take executive decisions to select variables to drop from the data set if you do not see much use or value for the ML analysis. Project aim is to forecast the set of stocks that are likely to be the best (and worst) FUTURE 3-Month performers at a point in time based on their features measured and recorded prior to the observed stock returns. If the model is able to pick the FUTURE WINNERS and avoid the FUTURE LOSERS, then the portfoli...

€330 Average bid
€330 Ortalama Teklif
18 teklifler

...is initially stored as a tight ball inside the attacker drone and is propelled/shoot toward the target. 2. **Simulation Breakdown:** - **Net Launch & Unfolding:** The net is fired from the attacker drone, transitioning from a compressed ball to a fully expanded net mid-flight. - **Collision & Capture:** The net wraps around the enemy drone, simulating realistic cloth entanglement and drag physics. - **Result:** The captured drone loses stability and falls toward the ground (basic rigid-body physics for the fall). 3. **Technical Needs:** - High-quality cloth simulation with attention to folding/unfolding dynamics. - Realistic interaction between the net, drones, and environmental factors (e.g., wind resistance). - Clean topology and opti...

€110 Average bid
€110 Ortalama Teklif
2 teklifler

...floorplanning, placement, and routing of digital designs. Manage clock tree synthesis (CTS), power planning, and timing closure. Run and analyze physical verification checks (DRC, LVS, ERC). Work closely with front-end design teams for timing constraints and logic equivalence. Optimize power, performance, and area (PPA) metrics. Debug issues related to signal integrity, electromigration, and IR drop analysis. Develop and maintain scripts for automation (TCL, Perl, Python). Required Qualifications: Bachelor’s/Master’s degree in Electrical Engineering, Electronics, or a related field. [X]+ years of experience in physical design and ASIC/SoC development. Strong experience with EDA tools (Cadence Innovus, Synopsys ICC2, Mentor Graphics). Proficiency in scripting languages...

€11 Average bid
€11 Ortalama Teklif
2 teklifler

I need an Excel expert who can create a time card with drop down menus that pull data from other sheets. The main purpose of these Excel formulas is for data analysis, specifically data visualization. Ideal Skills: - Proficiency in Excel with a focus on formula creation - Experience in data visualization techniques - Ability to create interactive Excel elements (like drop down menus) What I Need: - A time card that utilizes drop down menus - Data pulled from various other sheets in the workbook

€49 / hr Average bid
€49 / hr Ortalama Teklif
42 teklifler

I need an Airtable AND Softr expert consultant for help with one specific use case I need to create a Softr form that writes to one table (Table A) but can populate drop down list from two other tables (Table B, Table C) where the options from those other two tables are filtered based on the logged in users email address Please see a diagram attached explaining what I need Please ONLY apply if you're an expert in both platforms and have achieved a similar use case before

€34 / hr Average bid
€34 / hr Ortalama Teklif
20 teklifler

... ? Looking for: A developer (or team) experienced in web app development, with knowledge of Android & iOS app development. Someone who can advise on best tech stack, tools, and estimated costs (hosting, APIs, subscriptions, etc.). Willing to discuss maintenance & enhancement terms before development starts. ? If you have experience in building similar applications, let’s connect! Drop a message or comment below. ?...

€735 Average bid
€735 Ortalama Teklif
55 teklifler

...screen. Days and dates running horizontally from Sunday to Saturday. Each cell should display the assigned service type for that day. Administrators can click on a cell to edit the assigned service type. Drivers can only view their assigned shifts. Service Types Available The following service types must be available to assign to drivers: "In" "Ridealong" "70%" "80%" "90%" "Debrief" "Off" "Class" "Drop" "Refused" "HOL" (Holiday) "Office" At the bottom of the rota, the system should calculate: Total driver pool available each day. Total commitment (sum of all assigned shifts). Routes scheduled (a field the administrator can edit). Difference between total commi...

€976 Average bid
€976 Ortalama Teklif
119 teklifler

I'm seeking an expert in Excel to enhance my existing spreadsheet for railway locomotive comparison and assist with some data entry. Task 1: Spreadsheet Enhancement - Modify the current spreadsheet to allow for the comparison of multiple locomotive classes within one graph. - This will involve creating new drop down selections for the graphs, which currently only illustrate one class. Task 2: Data Entry - I will provide photographs of historical datasets which need to be transcribed into an additional tab on the spreadsheet. - The data points to be compared include both the mileages and the availability of the locomotives. Ideal Skills: - Excel expertise, especially in graph creation and data manipulation. - Attention to detail for accurate data transcription. - Experience w...

€141 Average bid
€141 Ortalama Teklif
109 teklifler

...be entered by a guest account who has no viewing rights - Data entry: If an existing customer has been selected with an existing racket, the input for some fields will be pre-defined from his last service request of this racket (e.g. e-mail address, special requests, ...) but the admin / agent can edit this information if desired during the data entry - Some fields (e.g. racket names) will have a drop down which shows all already entered values for this field (i.e. racket names which were already used by alphabet, like a database for the rackets) By submitting your bit, please briefly describe your solution. Ideal skills and experience for this project include: - Proficiency in database management - Experience in developing customer service workflows - Knowledge of e-commerc...

€141 Average bid
€141 Ortalama Teklif
27 teklifler

I'm seeking a seasoned professional to design a Logo+ Branding+ business profile. details will be shared later . please drop me your price

€76 Average bid
€76 Ortalama Teklif
16 teklifler

...TradingView Chart Integration: Custom indicators, strategy builder. Volume Profile & VWAP Strategy: Identify optimal entry price levels. Fibonacci, Pivot Points, EMA Ribbons: Essential trading tools. Pinbar & Rejection Candle Screener: AI-driven smart candle analysis. Auto Trendline & Pattern Detection: Identify breakouts & price action-based trading. 6. AI-Based Custom Strategy Builder Drag & Drop Strategy Builder: Create custom trading strategies. Backtesting Tool: Check strategy success rate using historical data. Custom Alerts & Filters: Set trade conditions for automated alerts. Risk-Adjusted Trade Sizing: Smart lot size & risk calculation. 7. Sentiment & Insider Data Analysis Insider Trading Tracker: Monitor executive buy/...

€703 Average bid
€703 Ortalama Teklif
17 teklifler