Skip to content

Commit

Permalink
Fixed path to riscvOVPsimPlus
Browse files Browse the repository at this point in the history
  • Loading branch information
davidharrishmc committed Jan 21, 2022
1 parent d1162ee commit 0bb63e9
Show file tree
Hide file tree
Showing 4 changed files with 7 additions and 43 deletions.
4 changes: 3 additions & 1 deletion Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,9 @@ install:
cp ${RISCV}/riscv-isa-sim/arch_test_target/spike/Makefile.include addins/riscv-arch-test/
sed -i '/export TARGETDIR ?=/c\export TARGETDIR ?= ${RISCV}/riscv-isa-sim/arch_test_target' addins/riscv-arch-test/Makefile.include
echo export RISCV_PREFIX = riscv64-unknown-elf- >> addins/riscv-arch-test/Makefile.include
# tests/linux-testgen/linux-testvectors/tvLinker.sh # needs to be run in local directory
cd tests/linux-testgen/linux-testvectors; source ./tvLinker.sh # needs to be run in local directory
rm tests/imperas-riscv-tests/riscv-ovpsim-plus/bin/Linux64/riscvOVPsimPlus.exe
ln -s ${RISCV}/imperas-riscv-tests/riscv-ovpsim-plus/bin/Linux64/riscvOVPsimPlus.exe tests/imperas-riscv-tests/riscv-ovpsim-plus/bin/Linux64/riscvOVPsimPlus.exe

regression:
make -C pipelined/regression
Expand Down
38 changes: 0 additions & 38 deletions examples/asm/sumtest/fir.c

This file was deleted.

4 changes: 2 additions & 2 deletions examples/verilog/riscvsingle/riscvsingle.sv
Original file line number Diff line number Diff line change
Expand Up @@ -117,7 +117,7 @@ module testbench();
logic MemWrite;

// instantiate device to be tested
riscvsinglehart dut(clk, reset, WriteData, IEUAdr, MemWrite);
riscvsinglecore dut(clk, reset, WriteData, IEUAdr, MemWrite);

// initialize test
initial begin
Expand All @@ -143,7 +143,7 @@ module testbench();
end
endmodule

module riscvsinglehart(
module riscvsinglecore(
input logic clk, reset,
output logic [31:0] WriteData, IEUAdr,
output logic MemWrite);
Expand Down
4 changes: 2 additions & 2 deletions pipelined/regression/Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -11,8 +11,8 @@ make all:
# *** Build old tests/imperas-riscv-tests for now;
# Delete this part when the privileged tests transition over to tests/wally-riscv-arch-test
# Also delete bin/exe2memfile at that point
# make -C ../../tests/imperas-riscv-tests
# make -C ../../tests/imperas-riscv-tests XLEN=64
make -C ../../tests/imperas-riscv-tests
make -C ../../tests/imperas-riscv-tests XLEN=64
cd ../../tests/imperas-riscv-tests; exe2memfile.pl work/*/*.elf


Expand Down

0 comments on commit 0bb63e9

Please sign in to comment.