Фільтрувати

Мої недавні пошуки
Фільтрувати по:
Бюджет
до
до
до
Тип
Навички
Мови
    Статус роботи
    2,000 cmmi verilog vhdl знайдені роботи

    Науково-дослідний проект в галузі неруйнівного контролю. ____________________________________________________________ Scientific research project in the field of non-destructive testing.

    $460 Average bid
    $460 Сер. заявка
    2 заявки

    I'm looking for a skilled engineer with experience in Altera FPGA and electronics to develop a smart automatic door lock system. The system should use an electronic strike solenoid lock and operate based on a 4x3 keypad input. Key project requirements: - The lock should open when a correct passcode is entered. There will be three different passcodes programmed into the system. - If the u...provide feedback when the correct passcode is entered. You will also need to provide guidance on how to connect the GPIO pins of the Altera DE0 Nanobot to a servo motor to simulate the door opening. The ideal candidate for this project should have a strong background in FPGA programming, electronics, and working with solenoid locks. Experience with Altera DE0 Nanobot is a plus. The code should be ...

    $97 Average bid
    $97 Сер. заявка
    35 заявки

    Hi, I need an APP optimized for Markov Chain Monte Carlo (MCMC) computations written in hardware description languages VHDL or Verilog for the AMD Alveo™ U50 Data Center Accelerator Card. The app should be as basic as possible, but it needs to outperform the top-performance CPUs such as Ryzen 9 7950x or IntelCore i9 14900K. AMD Alveo™ U50 Data Center Accelerator Card The app will be used for mining (PoW) activities for the Matrix AI Network project: From the MATRIX 1.0 White Paper, the recommended hardware for mining activities should focus on devices optimized for Markov Chain Monte Carlo (MCMC) computations. These computations are integral to the

    $1054 Average bid
    $1054 Сер. заявка
    9 заявки

    I'm working on a digital circuit design project focused on a finite state machine (FSM) for a lock system. I need assistance with the design and implementation using VHDL on a Basys3 FPGA board. Ideal Skills: - Proficiency in VHDL - Experience with digital circuit design - Familiarity with FSMs Key Tasks: - Design a FSM for a lock system - Implement the design using VHDL - Test the design on a Basys3 FPGA board Please provide examples of similar projects you've completed in your proposal.

    $119 Average bid
    $119 Сер. заявка
    19 заявки
    Xilinx ISE Design Support for FPGA
    1 день left
    Верифіковано

    ...such as addition, subtraction, multiplication, and division. - It should be designed using a combination of combinational and sequential logic using Verilog. - **LED Patterns on FPGA**: - The LED patterns should be generated randomly. - You should have experience in creating dynamic and visually appealing patterns. - **Seven Segment Display on FPGA**: - The project involves configuring a seven segment display on the FPGA. - Your understanding of schematic design and FPGA programming will be crucial for this task. Ideal Skills and Experience: - Proficiency in Xilinx ISE Design software version 14.7. - Extensive experience in Verilog programming. - Prior experience with designing sign calculators and implementing LED patterns on FPGA. - Solid understanding of FP...

    $22 Average bid
    $22 Сер. заявка
    6 заявки

    I'm seeking an FPGA expert proficient in VHDL for a multi-part project. The centerpiece is a digital alarm clock displayed on an LCD, with the following tasks to be completed: 1) A counter that counts from 0000-9999. It should be able to reset and be controlled using a pushbutton, displaying in decimal on 7-segment displays. 2) A digital alarm clock. The clock should display on an LCD and turn on an LED when the current time matches the alarm time. 3) Generation of a waveform. 4) Configuration of pins. 5) A demo video of the completed project. The digital alarm clock is the highest priority, so experience with time-based VHDL projects will be advantageous. Please note, the digital alarm clock does not need to include additional features such as a snooze function or mul...

    $48 Average bid
    $48 Сер. заявка
    10 заявки

    I'm seeking an expert FPGA designer with Verilog proficiency to develop a custom hardware accelerator. Key Responsibilities: - Design an FPGA-based hardware accelerator specifically for managing sequential DMA reads and writes for memory access (TRBs). - Implement this using Verilog. Requirements: - Extensive experience with Xilinx FPGA family. - Proficiency in Verilog. - Prior work in designing custom hardware accelerators. - Knowledge in handling DMA operations. - Ability to translate complex hardware functions into Verilog code. The goal of this project is to create an efficient, reliable hardware solution that can handle specific memory access tasks with optimal performance.

    $126 Average bid
    $126 Сер. заявка
    3 заявки

    I'm in need of a professional who can assist me with simulating sequential logic circuits, specifically flip-flops and latches, using VHDL. Key Responsibilities: - Simulate sequential logic circuits using VHDL - Focus on flip-flops and latches Ideal Skills: - Proficiency in VHDL - Experience with simulating sequential logic circuits - Understanding of flip-flops and latches Your expertise will be pivotal in ensuring the successful simulation of these circuits. Looking forward to your proposals.

    $120 Average bid
    $120 Сер. заявка
    15 заявки
    Computer Architecture Expert Needed
    Закінчився left

    I am looking for a professional with extensive knowledge in computer architecture to assist with an upcoming project. The ideal candidate should have a strong background in processor design, memory hierarchy, and I/O systems. Experience with performance optimizatio...performance optimization, power efficiency, and scalability is a plus. Key Skills & Experience: - Extensive knowledge in computer architecture - Strong background in processor design, memory hierarchy, and I/O systems - Experience with performance optimization, power efficiency, and scalability - Proficiency in simulation or modeling tools related to computer architecture. - Proficiency in VHDL/Verilog for hardware design. Deliverable is a comprehensive technical report. The main objective is to create a v...

    $178 Average bid
    $178 Сер. заявка
    10 заявки

    I need an expert to create a single lane bidirectional differential sender/receiver that connects two Xilinx FPGAs. Key Requirements: - The main purpose of this connection is data transfer between the two FPGAs. - The target data transfer rate is up to 1 Gbps (typ. 500 MBaud in DDR fashion) Ideal Skills: - Proficiency in FPGA programming, particul...two Xilinx FPGAs. Key Requirements: - The main purpose of this connection is data transfer between the two FPGAs. - The target data transfer rate is up to 1 Gbps (typ. 500 MBaud in DDR fashion) Ideal Skills: - Proficiency in FPGA programming, particularly with Xilinx FPGAs. - Familiarity with the SerDes, IO-Delay, clocking archtecture of Spartan-6 - Language: VHDL Please do not post any suggestions unless you have experience in ...

    $17 / hr Average bid
    $17 / hr Сер. заявка
    2 заявки

    ...Python/Java, JPA, JWT, and MySQL. ⿣ Bootstrap & Power BI Merge design and data for visually stunning insights. ⿤ Embedded Systems Work with TI Boards, STM controllers, Linux, and cutting-edge embedded tech. ⿥ Cloud Computing Teach AWS, Python, Terraform, and cloud-first solutions. ⿦ Blockchain Be the guide to secure, decentralized future technologies. ⿧ VLSI Design & Verification Lead with expertise in Verilog, SystemVerilog, and UVM. ⿨ VLSI Design for Test (DFT) Shape the semiconductor field with Scan BIST, MBIST, and ATPG. Location: Tamil Nadu(Ready to travel and stay all over TN) and Andhra pradesh Why Join Us? Flexible freelance opportunities Shape the future of engineering talent Collaborate with a forward-thinking team Let’s build something extraordinary, to...

    $44 Average bid
    $44 Сер. заявка
    9 заявки

    I am searching for someone to help me with the following project. I hope you can help me. I need an APP optimized for Markov Chain Monte Carlo (MCMC) computations written in hardware description languages VHDL or Verilog for the AMD Alveo™ U50 Data Center Accelerator Card or similar other FDPA. The app should be as basic as possible, but it needs to outperform the top-performance CPUs such as Ryzen 9 7950x or IntelCore i9 14900K. AMD Alveo™ U50 Data Center Accelerator Card The app will be used for mining (PoW) activities for the Matrix AI Network project: From the MATRIX 1.0 White Paper, the recommended hardware for mining activities should focus on devices

    $764 Average bid
    $764 Сер. заявка
    7 заявки

    Spyglas,VCS,DC,DFT,Verdi,Catapult,Synplify,VerilogXL,Virtuoso,Xcelium,Spectre,Allegro,Vivado,Quartus,ModleSim, Matlab,Octave,Signal Generator,Logic Analyzer,Anaconda,Tensorflow,Keras,Darknet ,notepad++. Language mastery: Verilog,SystemVerilog,UVM,C,C++,VHDL,Python,Firmware etc. Familiar with using: Linux, Windows,Unix,MS-DOS,FreeRTOS. PreviousFields: AISC/IP/IC design,SOC design/verification,FPGA Design/Verification and debugging,AI (especially deep learning),complex digital systems,complex communication systems,mixed digital and analog systems. Work experience focused in Beijing China 5+ years of ASIC experience and 10+ years of FPGA experience, experienced Senior Technical Lead with a demonstrated history of working in the semiconductors industry. Part of 5+ tap...

    $40 / hr Average bid
    $40 / hr Сер. заявка
    19 заявки

    ...JWT, and MySQL. 3️⃣ Bootstrap & Power BI Merge design and data for visually stunning insights. 4️⃣ Embedded Systems Work with TI Boards, STM controllers, Linux, and cutting-edge embedded tech. 5️⃣ Cloud Computing Teach AWS, Python, Terraform, and cloud-first solutions. 6️⃣ Blockchain Be the guide to secure, decentralized future technologies. 7️⃣ VLSI Design & Verification Lead with expertise in Verilog, SystemVerilog, and UVM. 8️⃣ VLSI Design for Test (DFT) Shape the semiconductor field with Scan BIST, MBIST, and ATPG. ? Location: Tamil Nadu(Ready to travel and stay all over TN) and Andhra pradesh ? Why Join Us? Flexible freelance opportunities Shape the future of engineering talent Collaborate with a forward-thinking team ? Let’s build something extraordinary, to...

    $52 Average bid
    $52 Сер. заявка
    7 заявки
    FPGA VHDL Design
    Закінчився left

    The company is seeking FPGA Designers proficient in VHDL to outsource specific tasks. Some tasks will require a remote server connection for accessing resources and collaborative development. Skills Required: Proficiency in VHDL for FPGA design and development. Experience with simulators such as ModelSim or Active-HDL/Riviera. Additional Skills (Considered a Plus): Expertise in synthesis and implementation processes. Proficiency in Tcl scripting for automation and tool customization. Experience with debugging and testing using tools like ILA (Integrated Logic Analyzer), SignalTap, or similar. Familiarity with embedded software development, including: Writing bare-metal C/C++ for testing Zynq firmware. Developing or customizing a complete PetaLinux OS. Soft Skills: Stro...

    $21 / hr Average bid
    $21 / hr Сер. заявка
    20 заявки

    I'm looking for an experienced professional to help debug my Verilog code, Xilinx Vivado, FPGA; specifically focusing on UART communication related to data transmission. I'm currently at the final testing stage and encountering issues with incorrect data output. Key Requirements: - Expertise in UART communication, particularly in data transmission - Proficiency in Verilog coding - Experience in debugging and troubleshooting Verilog code - Ability to identify and resolve issues causing incorrect data output - Familiarity with simulation software such as ModelSim or Vivado

    $48 / hr Average bid
    $48 / hr Сер. заявка
    14 заявки

    Title of the Article: IR Drop Analysis for RFID Mutual Authentication Protocol This article focuses on the complete process of laying out a Verilog code design using Astro and performing IR Drop analysis. It also discusses methods to reduce IR Drop. The Verilog code is divided into seven parts: one top module and six submodules. The tasks include: Layout Process: Perform the layout of the entire Verilog code using Astro. Floorplan and Chip Physical Implementation: Cover the chip’s physical design and implementation steps. IR Drop Analysis: Conduct full-chip static and dynamic IR Drop analysis at various stages of the physical implementation process using simulation results to identify hotspot regions. Optimization Methods: Analyze the causes of IR Drop h...

    $98 Average bid
    $98 Сер. заявка
    3 заявки

    I'm seeking a skilled technical writer with a strong background in VLSI digital design to create an educational document aimed at intermediate learners. The document should focus on HDL (Verilog/VHDL) and provide clear, comprehensive explanations of the concepts, techniques, and applications pertinent to this area of digital design. Key Requirements: - In-depth knowledge and experience in VLSI digital design and HDL (Verilog/VHDL). - Proven technical writing skills, particularly in creating educational materials. - Ability to explain complex concepts in an accessible way for intermediate learners. The final document should be well-structured, engaging, and suitable for use as a teaching resource. The document should be between 25-50 pages.

    $155 Average bid
    $155 Сер. заявка
    16 заявки

    I'm looking for a skilled engineer to develop a 5-port Network on Chip (NoC) router for IoT edge devices. This router should be coded in Verilog and be designed with low power consumption as the top priority. The router should handle 8-bit input data and be optimized for low power operation without compromising performance. Key Requirements: - Proficiency in Verilog with proven experience in coding for hardware implementations. - Deep understanding of low power design techniques in digital circuits. - Experience with designing network routers, particularly for IoT applications. - Capability to optimize for specific traffic patterns - in this case, handling 8-bit input data. If you have the required skills and experience, I look forward to receiving your bid.

    $94 Average bid
    $94 Сер. заявка
    2 заявки

    I am searching for someone to help me with the following project. I hope you can help me. I need an APP optimized for Markov Chain Monte Carlo (MCMC) computations written in hardware description languages VHDL or Verilog for the AMD Alveo™ U50 Data Center Accelerator Card or similar other FDPA. The app should be as basic as possible, but it needs to outperform the top-performance CPUs such as Ryzen 9 7950x or IntelCore i9 14900K. AMD Alveo™ U50 Data Center Accelerator Card The app will be used for mining (PoW) activities for the Matrix AI Network project: From the MATRIX 1.0 White Paper, the recommended hardware for mining activities should focus on devices

    $472 Average bid
    $472 Сер. заявка
    8 заявки

    I am seeking a freelancer to implement a traffic signal controller using the Altera DE2-115 board. The project is intended for educational demonstration purposes, so clear and effective design is crucial. Key Requirements: - Use of Verilog to program the board. - Implementation of a fixed-time sequence traffic signal pattern. - Inclusion of pedestrian crossing signals, which should be activated via the board's key button. - Utilization of the board's LCD screen to display the current signal state. Ideal Skills: - Proficiency in Verilog programming. - Experience with the Altera DE2-115 board. - Understanding of traffic signal patterns and controllers. - Ability to design for educational purposes.

    $30 Average bid
    $30 Сер. заявка
    6 заявки

    I am searching for someone to help me with the following project. I hope you can help me. I need an APP optimized for Markov Chain Monte Carlo (MCMC) computations written in hardware description languages VHDL or Verilog for the AMD Alveo™ U50 Data Center Accelerator Card or similar other FDPA. The app should be as basic as possible, but it needs to outperform the top-performance CPUs such as Ryzen 9 7950x or IntelCore i9 14900K. AMD Alveo™ U50 Data Center Accelerator Card The app will be used for mining (PoW) activities for the Matrix AI Network project: From the MATRIX 1.0 White Paper, the recommended hardware for mining activities should focus on devices

    $496 Average bid
    $496 Сер. заявка
    6 заявки
    FPGA AMD Alveo™ U50 APP Development
    Закінчився left

    I am searching for someone to help me with the following project. I hope you can help me. I need an APP optimized for Markov Chain Monte Carlo (MCMC) computations written in hardware description languages VHDL or Verilog for the AMD Alveo™ U50 Data Center Accelerator Card or similar other FDPA. The app should be as basic as possible, but it needs to outperform the top-performance CPUs such as Ryzen 9 7950x or IntelCore i9 14900K. AMD Alveo™ U50 Data Center Accelerator Card The app will be used for mining (PoW) activities for the Matrix AI Network project: From the MATRIX 1.0 White Paper, the recommended hardware for mining activities should focus on devices

    $487 Average bid
    $487 Сер. заявка
    6 заявки

    I'm looking for a skilled engineer to design and implement an Arithmetic Logic Unit (ALU) using Verilog. This ALU will perform addition and subtraction of 16-bit Binary Coded Decimal (BCD) numbers on an ASIC platform. Key Requirements: - Design and implement the ALU to handle 16-bit BCD numbers. - Use Verilog for all design aspects. Ideal Skills: - Extensive experience with ASIC design and implementation. - Proficient in Verilog with a solid understanding of ALU design. - Knowledge of Binary Coded Decimal (BCD) arithmetic. The successful freelancer will help bring this project to fruition with their expertise in digital design and ASICs. The ALU should operate at a clock frequency of no requirements.

    $142 Average bid
    Терміновий
    $142 Сер. заявка
    5 заявки
    VHDL (Digilent Spartan-E3 FPGA Board)
    Закінчився left

    I need help in a project. All what I have to do is already given (manual). I need help to do the exercises given

    $47 Average bid
    $47 Сер. заявка
    10 заявки

    I need a freelancer who can design an 8-bit up/down counter on an FPGA for me. This counter should be binary based and capable of interfacing with a 10MHz clock. Key Requirements: - Design an 8-bit binary up/down counter - Implement 'clear' and 'load' features - Synchronize with a 10MHz clock Ideal Skills: - Proficiency in FPGA development - Experience with digital ...FPGA development - Experience with digital circuit design - Familiarity with binary counter design The counter should interface with an LED display for output. Please use a Xilinx FPGA platform for this project. The counter should reset to zero. Please ensure the counter can load a value from an input signal. The counter should be controlled using button input for up/down counting. Please use Verilog...

    $35 Average bid
    $35 Сер. заявка
    23 заявки
    VHDL Coding Assistance
    Закінчився left

    I am seeking an experienced VHDL programmer to assist in the development of a digital circuit design for FPGA implementation. The project involves creating VHDL modules for a state machine-based system designed to generate and store Fibonacci numbers in RAM. The key components of this project include: Main FSM Controller: Develop a Finite State Machine (FSM) to manage the generation and storage of Fibonacci numbers, handling state transitions based on external inputs. Debouncer: Implement a debouncer module to stabilize the input signals from physical buttons, ensuring clean transitions and preventing bounce-related issues. Counter: Create a counter module that will provide address generation for the RAM, managing the read/write operations based on the FSM's state. R...

    $54 Average bid
    $54 Сер. заявка
    13 заявки

    I'm seeking an expert in neuromorphic computing and coprocessor design for a university project. The primary deliverable is simulating the designed coprocessor using Verilog. Key project components include: - Designing a custom neuromorphic coprocessor tailored for the project. - Producing simulation results using Verilog. Ideal skills and experience for the job: - Extensive experience with Verilog and other simulation tools. (Xilinx vivado) - Proven track record of designing and simulating custom hardware. - Ability to deliver high-quality, detailed simulation results.

    $147 Average bid
    $147 Сер. заявка
    3 заявки
    Tiny Tapeout Debugging Expert Needed
    Закінчився left

    ...involves debugging Verilog code from GitHub. Unfortunately, I'm encountering syntax errors throughout the code and I need a skilled professional who can assist me in identifying and fixing these issues. - Specific Tasks: Debugging Verilog code and resolving syntax errors - Ideal Skills: Proficiency in Verilog, strong debugging skills, familiarity with GitHub - Experience: Previous experience with Tiny Tapeout projects is a plus but not necessary - Conduct a thorough code review to identify potential issues. - Refactor the existing Verilog code for improved readability and maintainability. - Create and run additional test cases to ensure the code works correctly. - Integrate the corrected code into the project and run tests to verify overall functionalit...

    $17 Average bid
    $17 Сер. заявка
    1 заявки

    ...experienced FPGA Verification engineer to help with unit testing my existing Verilog code. The primary focus will be on conducting functional tests to ensure the integrity and performance of the code. Ideal Skills: - Proficient in Verilog with significant experience in FPGA software engineering. - Strong background in designing and implementing unit tests, specifically functional tests. - Familiarity with FPGA design and optimization. - Excellent problem-solving skills and attention to detail. Experience: - Proven track record of successful FPGA code testing. - Experience with Class C Software - Experience with writing code and unit test for medical devices - Experience with Verilog is essential, prior experience with VHDL or SystemVerilog is a plus. - F...

    $36600 Average bid
    $36600 Сер. заявка
    18 заявки

    I am looking for a skilled professional with expertise in digital VLSI design and simulation-based verification. The primary focus of this project is on the design and verification of a digital VLSI circ...design and verification of a digital VLSI circuit. Ideal Skills and Experience: - Extensive experience in digital VLSI design. - Proficient in simulation-based verification methods. - Familiarity with various simulation tools and software. - Strong problem-solving skills and attention to detail. - Good communication skills to relay progress and challenges. - Proficiency in HDL languages like VHDL and Verilog. Key Responsibilities: - Designing a digital VLSI circuit. - Conducting thorough simulation-based verification. - Providing regular updates on progress and any challe...

    $11 / hr Average bid
    $11 / hr Сер. заявка
    13 заявки

    I am looking for an expert in Verilog and Vivado to assist with our project. The primary goal is to conduct a power comparison of our sequential circuit architectures. Key Requirements: - Proficient in Verilog and Vivado - Experience with power analysis of sequential circuits - Ability to provide comprehensive reports on power consumption comparisons - Proficient in running simulations to test circuit performance The successful freelancer will help us understand the power consumption of our different architectures. Please include your experience with similar projects in your bid. The power comparison involves evaluating circuits that use flip-flops.

    $5 / hr Average bid
    $5 / hr Сер. заявка
    3 заявки
    FPGA FW Design
    Закінчився left

    As discussed we need FPGA VHDL design for our ongoing projects

    $10 / hr Average bid
    $10 / hr Сер. заявка
    1 заявки

    I'm looking for a Python expert to develop a package that automates the process of pulling VHDL code from a git repository, compiling it, running lint checks, simulating it, and generating documentation using custom scripts I've developed. Key features of the package should include: - Interfacing with a git repo to pull code - Using custom scripts for compilation, linting, simulation, and documentation - Outputting the results of simulations as log files Ideal candidate: - Extensive experience with Python - Familiarity with VHDL and git - Able to understand and work with custom scripts - Good understanding of software package development

    $182 Average bid
    $182 Сер. заявка
    50 заявки
    Xilinx FPGA Ultrasonic Sensor Control
    Закінчився left

    I am looking for an expert in Verilog and Xilinx FPGA boards to help me with a project. The task involves controlling a servomotor based on the distance detected by an ultrasonic sensor. Essential Project Details: - The distance to be detected by the ultrasonic sensor falls within the medium range of 2 to 5 meters. - The control logic for the servomotor needs to be implemented in Verilog. Ideal Skills and Experience: - Proficiency in Verilog is mandatory. - Extensive experience with Xilinx FPGA boards is highly desirable. - Prior work with servomotor and ultrasonic sensor control is an advantage. - Ability to deliver a precise, reliable and efficient control system.

    $9 Average bid
    $9 Сер. заявка
    1 заявки
    VHDL Designer for FPGA
    Закінчився left

    The Company is in need of a proficient VHDL designer experienced in FPGA development. The company has a lot of new projects, and several profiles are available - Junior VHDL designer: we pass the specs, you implement the VHDL, test in simulation and document modules - Senior FPGA Designer: you are in charge of the whole FPGA design, could be from specification to integration and testingon hardware (remotely). and also VHDL design, simulation and documentation Key Responsibilities: - Develop and implement VHDL code for FPGAs/SoC Ideal Skills and Experience: - Extensive experience with VHDL - Proficient in FPGA design (multi vendor is a plus) Opportunities: - fixed prices tasks for modules design is the first step - hourly contracts can follow aft...

    $1148 Average bid
    $1148 Сер. заявка
    31 заявки
    Aesthetic OLED Menu Design in Verilog
    Закінчився left

    I need a visually appealing menu page designed in Verilog for an OLED display. This menu will primarily be used to display user data, specifically preferences. The ideal freelancer for this project should have: - Extensive experience in Verilog programming - Prior work in designing for OLED displays - A strong eye for aesthetics and design - Ability to create intuitive and user-friendly interfaces Your main responsibilities will include: - Creating a menu page that is not only functional but also aesthetically pleasing - Ensuring the menu can effectively display user preferences Please provide examples of previous similar projects in your proposal.

    $129 Average bid
    $129 Сер. заявка
    9 заявки

    I am seeking an experienced FPGA programmer to assist with controlling elements in a phased array, specifically ultrasound elements. The project requires programming in Verilog. Key Responsibilities: - Develop and implement Verilog-based control systems for ultrasound phased array elements using FPGA technology. - Collaborate with me to refine control strategies and ensure optimal performance. Ideal Skills: - Proficiency in Verilog and FPGA programming. - Prior experience with phased array element control, particularly with ultrasound elements. This project is pivotal in advancing our capabilities in ultrasound applications and I am looking for someone who can deliver high-quality, efficient solutions.

    $312 Average bid
    $312 Сер. заявка
    8 заявки

    I need comprehensive VHDL documentation for my FPGA control board project, which involves 4 FPGAs and a test firmware. The primary goal of this project is to perform functionality testing. Key Requirements: - Extensive knowledge of VHDL and FPGA - Previous experience with circuit design and hardware simulation - Skills in technical writing and documentation The documentation should cover: - Circuit design of the control board - Details about the 4 FPGAs and their roles - Overview of the test firmware - Procedures and methods for functionality testing The goal is to create clear, concise and thorough documentation that will aid in understanding and using the FPGA control board.

    $164 Average bid
    $164 Сер. заявка
    16 заявки

    We have RTL code of our Camera Interfacing architecture using verilog. To obtain GDSII file of same we need SDC file, script for CTS, dimensions of chip planning and also required files i.e. lib, lef,captable,QRC etc. (Technology- SCL 180nm)

    $74 Average bid
    $74 Сер. заявка
    5 заявки

    I'm looking for a skilled Verilog professional who can help me with the following tasks: - Adding new functionalities to existing Verilog code: This primarily involves enhancing operations within the current framework. - Verification: Once the new functionalities are integrated, thorough testing will be necessary to ensure everything works as expected. Ideal skills for this job include: - Proficiency in Verilog, particularly in the area of code verification. - Prior experience with enhancing operations in Verilog code. - Strong problem-solving skills to troubleshoot and resolve any issues that arise during the verification process. If you have a track record of successfully completing similar projects, I would love to hear from you.

    $147 Average bid
    $147 Сер. заявка
    18 заявки
    FPGA Verilog Debugging on VIVADO
    Закінчився left

    I need to acquire the data on my...ADC card to AX7035B. The task is quite simple. We need a FIFO to get the data and the FIFO need to communicate with Ethernet port on the board AX7035B to successfully transmit the data for logging into my PC. For this reason, the simulation behavior task has been completed, as attached. The same code can be synthesized and successfully generate the bit file. However, I am not an expert in FPGA/verilog debugging. Please note that it is a ready-made project and the freelancer has to make sure it successfully achieve my desired objective (i.e, I must get the data to get logged on my computer after receiving through FPGA ethernet port). I don't need any specialized software to display this data on my computer in real time. Just logged into a text ...

    $13 / hr Average bid
    $13 / hr Сер. заявка
    19 заявки

    I need a skilled VHDL developer for implementing a CORDIC algorithm to compute the exponential function on a Xilinx Artix FPGA. Key Requirements: - Proficient in VHDL with a focus on high-performance computing. - Experience with CORDIC algorithm implementations. - Familiarity with Xilinx FPGA programming, particularly the Artix series. The end goal is a highly efficient and accurate CORDIC implementation capable of computing exponential functions for a variety of input ranges.

    $112 Average bid
    $112 Сер. заявка
    5 заявки

    I'm on the lookout for a seasoned VHDL developer who can implement a CORDIC-based exponential function for scientific computing on a Xilinx FPGA. Key Requirements: - Expertise in VHDL programming - Proven experience with CORDIC algorithm implementations - Deep understanding of scientific computing needs - Familiarity and hands-on experience with Xilinx FPGA family - Ability to deliver reliable, efficient code Your role will be crucial in facilitating high-precision calculations, essential for scientific tasks. In-depth understanding of FPGA architecture and VHDL is paramount to achieve optimal performance. If you have a passion for working on cutting-edge technology and delivering top-notch solutions, I would love to hear from you.

    $112 Average bid
    $112 Сер. заявка
    7 заявки

    ...firmware using HDL (Verilog/VHDL) for PCIe-based DMA monitoring. Design, simulate, and test algorithms for real-time data processing on the FPGA. Interface the FPGA with the host system, ensuring seamless data transfer via PCIe. Optimize FPGA code for performance, power consumption, and resource utilization. Collaborate with hardware engineers to debug and validate FPGA functionality on the physical board. Write and maintain clear and detailed technical documentation. Work on performance tuning and integration of FPGA modules with embedded software. Required Qualifications: Bachelor’s/Master’s degree in Electrical Engineering, Computer Engineering, or related field. 3+ years of experience in FPGA programming, ideally with Xilinx FPGAs. Proficiency in HDL langua...

    $19 / hr Average bid
    $19 / hr Сер. заявка
    8 заявки
    FPGA Hardware Prototyping Expert
    Закінчився left

    ...troubleshooting complex hardware systems. Experience with hardware simulation tools such as ModelSim, Vivado, or equivalent for FPGA testing and validation. Deep understanding of PCB design and multi-layer board layouts, specifically for dense, high-performance systems. Proficiency with signal integrity tools and equipment (oscilloscopes, logic analyzers, etc.). Strong knowledge of FPGA architecture and VHDL/Verilog for FPGA development. Ability to work independently and collaboratively within a team environment. Excellent problem-solving skills and attention to detail. Nice to Have: Familiarity with high-speed communication protocols (e.g., PCIe, Ethernet, DDR). Experience with power integrity analysis and thermal management. Previous work with Xilinx development tools (V...

    $21 / hr Average bid
    $21 / hr Сер. заявка
    9 заявки

    I'm looking for a skilled Verilog coder to help me build a transformer model for machine translation from English to Spanish. writting code as per architecture of the transformer Here's what you need to know: - The transformer model will specifically be used for machine translation. - A crucial part of this project is sourcing datasets for training the model. I currently do not have any datasets, so your ability to find suitable ones will be key. - Experience with NLP and Verilog is essential, and understanding of machine translation will be a significant advantage. Your expertise will help make this project a success. Looking forward to your bids.

    $7 - $18
    Місцевий
    $7 - $18
    0 заявки
    Verilog Processor Code Enhancement
    Закінчився left

    I'm looking to add new instructions to my Verilog code which currently describes a processor. The main objective is to integrate logical operations, specifically an exclusive-OR immediate instruction. Ideal Skills: - Expert knowledge in Verilog and processor design - Experience in designing and implementing logical instructions - Familiarity with exclusive-OR operations and immediate instructions The goal is to enhance the current functionality of the processor by incorporating these new instructions in a seamless and efficient manner.

    $165 Average bid
    $165 Сер. заявка
    26 заявки
    Perl to Tcl Code Conversion
    Закінчився left

    I'm looking for a skilled programmer with experience in Perl and Tcl to convert my existing Perl code into Tcl. The current code is used for analyzing VHDL code through regular expression file analysis. Key Requirements: - Proficiency in both Perl and Tcl - Knowledge of regular expression file analysis We have an AI converted starting version but the whole file conversion is not correct, so probably chekc line by line and testing for comparison is required

    $155 Average bid
    $155 Сер. заявка
    29 заявки
    Verilog Basics Assistance Needed
    Закінчився left

    I'm new to Verilog and seeking help with the fundamentals, particularly in design coding. My current focus is on understanding module creation, state machines, and testbenches. Ideal skills and experience for the job: - Strong background in Verilog - Experience in teaching or tutoring - Patience and ability to explain complex concepts in simple terms - Good communication skills

    $20 Average bid
    $20 Сер. заявка
    5 заявки