Filtër

Kërkimet e mia të fundit
  • avr attiny2313 clock
  • bidstick com review
  • free games affiliate
  • yang membuat anak usia murung adalah
  • mixing and mastering engineer
  • vpn radius billing ldap free
Filtro sipas:
Buxheti
deri në
deri në
deri në
Lloji
Aftësitë
Gjuhët
Shteti i punës
2,000 avr attiny2313 clock u gjetën punë

...3. The driver: The mum in the car, her eyes wide and her jaw dropped in shock, while her daughter is sitting in the passenger seat, completely engrossed in her phone, her face totally neutral. 4. The bike: Your black and grey Carrera bike could be flung in the background with wheels still spinning and in motion. 5. Time warp: You could add a subtle time distortion effect around you, maybe with clock hands or streaks of light, to convey how it felt like time stretched out. 6. Title Placement: I would kind the title draped across the sketch Please note these are thoughts you are the artist but some of this would be nice thank you....

€104 Average bid
€104 Oferta mesatare
93 ofertat

I'm in need of a unique logo for my company. The design should feature a rubberhose style character, specifically, a clock that's laughing and holding its sides from laughter. -This clock character should possibly have tears in its eyes from laughing too hard. The font for the company name should be a cartoon-style as well. It should also have yellow or golden colored bells. The character primarily expresses joy and silliness. The ideal freelancer for this project would have a strong background in cartoon-style graphics, character design, and logo creation. Experience with vintage rubberhose animation style would be a significant advantage. Your proposal should include: - A portfolio showcasing similar cartoon-style designs - Your understanding of the rubber...

€39 Average bid
€39 Oferta mesatare
102 ofertat

...**Menus**: - Dine-in, pickup, and delivery menus (scheduled by time) - Categories, items, variations (e.g., size), modifiers, addons, upsell items, combos - Multiple menus per location and meal periods - Item-wise or category-wise tax allocation **Owner App**: - Analytics on sales and departments - News feed for announcements **Staff Management**: - Staff scheduling, shift management, and clock-in/out - Hourly rate, payroll, and tips - Leave management and shift sharing - Onboarding/training manual - Staff directory **Kitchen Display**: - Multiple kitchen displays (Android/iOS/Windows) - Displays real-time order status and ready status on POS **Accounting & Integration**: - QuickBooks integration for accounting - Inventory management **QR Code Ordering**: - QR code...

€1171 Average bid
€1171 Oferta mesatare
33 ofertat

...have the following features: Key Features: Employee Authentication: Employees should be able to log in using their email and password. Time Tracking System: A "Start Clock" button that records the start time but rounds it up to the next 30-minute mark: Example: If an employee starts at 10:01, the system should count time from 10:30. If an employee starts at 09:59, the system should count time from 10:00. An "End Clock" button that rounds the end time down to the previous 30-minute mark: Example: If an employee clicks "End Clock" at 11:17, the system should count the end time as 11:00. If an employee clicks "End Clock" at 11:01, the system should count the end time as 11:00. The system will only count the actu...

€291 Average bid
€291 Oferta mesatare
129 ofertat

I need a full website built from scratch using Custom HTML/CSS/JS. The site will integrate an invoicing system that sends all invoices to Discord as embeds, with the ability for users to edit them as ...to build off of that. Once the website is completed, I will need it set up on a local server with AnyDesk. Ideal Skills: - Proficient in Custom HTML/CSS/JS - Experience with creating complex websites - Familiarity with integrating external systems (like Discord) - Ability to create user registration systems - Experience with server setup and management Needs to have a section where users can clock in and admins can generate reports to pay out every 2 weeks. Please note that the design style for the website has not been predetermined, so a modern and minimalistic approach will be ...

€146 Average bid
€146 Oferta mesatare
55 ofertat
Wanted we Search 24/7 Support Team
2 ditë left
Të verifikuara

We are seeking an experienced and semi dedicated IT team We are looking for a team that is available to us around the clock. Individual freelancers will not be considered, as we require a scalable team. Your responsibilities include: 24/7 handling of monitoring alerts Prompt response to our emails Processing tickets such as installing software X or Y, also 24/7 Our Requirements: 24/7 Availability: A team member must always be available, especially in emergencies. Team Introduction: Please introduce your team, including the number of members and their specializations (Linux/Windows Server, etc.). Hourly Billing: We want to bill according to actual needs, without monthly fixed prices or flat rates. We pay only for booked hours, which can vary each month (e.g., 1, 10, or 20 hours). ...

€2 - €6 / hr
I vulosur MRS
€2 - €6 / hr
7 ofertat

Experience Level: 6+ Years Industry: Hospitals and Healthcare About Us: With a commitment to reliability, security, and exceptional performance, we offer customized hosting plans, domain registration, website design, and maintenance services. Our expert team ensures round-the-clock support, enabling businesses to establish and grow their online presence effortlessly. Job Description: We are seeking a highly skilled, detail-oriented Freelance Senior UI/UX Designer (Part-Time) with over 6 years of experience to join our dynamic team. In this role, you will lead the design of user interfaces and experiences for our suite of healthcare applications, including Electronic Health Records (EHR), telemedicine platforms, and patient management systems. You will collaborate closely with cro...

€542 Average bid
€542 Oferta mesatare
23 ofertat

I'm seeking a contractor to develop a Power App mobile application for managing employee attendance and leave. This app should support both iOS and Android platforms. Key Features: - Clock in/Clock out: The app should enable employees to check their attendance conveniently. - Leave Application and Approval: The app needs a feature for employees to apply for leave and for the management to approve it. - Overtime Tracking: This feature should facilitate monitoring of employees' overtime hours. User Authentication: - The app should require users to authenticate via email and password. Skills and Experience Required: - Expertise in Power Apps development is a must. - Experience in mobile app development for both iOS and Android platforms. - Prior work on attendan...

€474 Average bid
€474 Oferta mesatare
42 ofertat

I'm seeking a high-end logo for my new business. The logo should exude a luxurious vibe. It might incorporate a clock or something that signifies time, as I have a preference for the concept of 1PM. Ideally the Business name is One Perfect Moment providing accommodation set ups for every occasion in the Gold Coast. 1PM - One perfect moment. Key Elements: - The logo could possibly integrate a clock symbol, highlighting the time aspect. - It should be a blend of a symbol/icon, text/lettering and an abstract design. Could possibly use the number 1 in the logo - just looking for someones creative perspective. Color Scheme: - Gold: To reflect luxury and prestige. - Black: For sophistication. - Red: To add a pop of vibrancy and energy. open to ideas Ideal Skills & Ex...

€46 Average bid
€46 Oferta mesatare
108 ofertat
Trophy icon New Logo & Icon - Watch Company
4 orё left

Overview We are looking for a fresh, modern logo and icon for our watch-buying company. Our brand focuses on purchasing watches from individuals, and we need a logo that reflects trust, professionalism, and luxury. Design Requirements - Icon: Should incorporate a reference to watches (e.g., a watch shape, hands of a clock) or creatively integrate the brand name. - Style: Clean, professional, and modern. Colours: - Black version - White version - Optional: Dark green (#02774A) as an accent colour Creative Freedom You are not restricted to the old logo design. We encourage fresh ideas and creativity while keeping the logo sleek and premium. Deliverables (THIS IS A MUST) - Icon (for favicon, social media, app use) - We need a clear ICON that can be used seperate to the LOGO. Someth...

€29 Average bid
I garantuar Konkursi më i mirë
€29
618 kandidaturat

Requirements: React Native Expertise: Proven experience with React Native, particularly with Expo for rapid development. API Integration: Strong skills in integrating APIs to fetch and display data dynamically in mobile ...in mobile applications. Video and Audio Calls: Experience in integrating and configuring ZogoCloud or similar services for in-app video and audio calls. 50 front end screens that are ready and the back end tcp servers are also ready, the front end team and back end will help you with the task, but most important for me is time, we have a strict and short deadline so the team is working on the clock to fullfill it and they will help you with allot of the stuff in regards of the integration, and after things are done you need to upload the app onto apple and goo...

€144 Average bid
€144 Oferta mesatare
59 ofertat

I'm looking to have an Excel-based system developed that is capable of: - Tracking attendance - Generating payslips - Calculating worked hours The system will be fed data from a time clock or biometric device and will need to import this data from a time tracking tool. Ideal skills for this job include advanced proficiency in Excel, experience with time tracking systems and knowledge of payroll processes. The ability to create a user-friendly interface and ensure data accuracy is crucial.

€33 Average bid
€33 Oferta mesatare
33 ofertat

...latch type buttons. The script should record the time, date, and duration of each button press, and output an Excel-style file with this data. Key requirements: - The spreadsheet should display how long each button was pressed, rounded to 15-minute intervals in a weekly calendar format. - Another tab or table should show the time and date that the buttons started and stopped, functioning as a time clock for multiple jobs. - The script should include coded pull-up or down resistors to filter out noise from the buttons. - Optionally, the spreadsheet can be emailed at regular intervals or by command to a specified email address. I prefer the code to be simple yet reliable, with ample comments so I can understand and adjust parameters as needed. This may eventually serve as the bac...

€177 Average bid
€177 Oferta mesatare
55 ofertat

...banglore my skills are Physical Design,Synthesis ,Floorplan , Placement , Clock Tree Synthesis , Routing , DFT , Physical Verification , Sign Off Tools used: Fusion Compiler, Design Compiler, IC Compiler II, Calibre Job Details About the Role: We are looking for a skilled Physical Design Engineer to join our VLSI design team. You will be responsible for implementing digital designs into physical layouts, ensuring optimal performance, area, and power consumption, while meeting timing constraints. This role requires strong expertise in EDA tools and a deep understanding of the entire physical design flow from RTL to GDSII. Key Responsibilities: Perform floorplanning, placement, and routing of digital designs. Manage clock tree synthesis (CTS), power planning, and timing c...

€10 Average bid
€10 Oferta mesatare
2 ofertat

...Live Open Interest Data: Monitor option contract formations. Implied Volatility Screener: Detect IV spikes. Price Action Patterns: Identify trends like Higher High/Lower Low, Breakouts, and Reversals. 3. Options Trading & Analysis Options Data Screener: Put-Call Ratio (PCR), Open Interest (OI), Straddle & Strangle alerts. Option Apex: Track big players’ call/put buying & selling. Option Clock: Optimize entry-exit timing to avoid false breakouts. IV Crush & Implied Volatility Analysis: Determine the best strike prices. Futures & Options Liquidity Map: Detect high liquidity levels. 4. AI-Based Trading Signals & Alerts Auto Buy/Sell Signals: AI-based trade alerts based on volume, trend, and price action. Breakout & Reversal Alerts: Noti...

€677 Average bid
€677 Oferta mesatare
17 ofertat

...the intercepted data is correct, we will compare it with the data intercepted from the SPI bus of the si4463. This way, we will be sure the data is accurate. To get started, please find more information about the si4463 here: - Sniffer project from a random person as an example - Sniffer project from a random person as an example - si4463 Datasheet - si4463 programming guide - si4463 API

€203 Average bid
€203 Oferta mesatare
11 ofertat

I am in need of professional security personnel to oversee our college premises. The security guards will be responsible for: - Access Control: Monitoring all building entry points to ensure the safety of our students and staff. - Patrol Services: Conducting building rounds to deter any potential disturbances and maintain a secure ...required is comprehensive, spanning 24 hours a day, 7 days a week. Ideal candidates for this project should have: - Prior experience in a similar security role, preferably within an educational institution. - Excellent observational skills and the ability to respond promptly to emergencies. - Certification in security services is a plus. Bids from individuals or agencies who can ensure round-the-clock, reliable and professional security are highly...

€264 Average bid
€264 Oferta mesatare
3 ofertat
Trophy icon "Time Set Fitness" Logo & Mockups
Ka përfunduar left

...Fitness, a brand representing a master trainer specializing in: Muscle Building Weight Loss Powerlifting Cardio CrossFit Kegel Exercises Logo Requirements: The logo should symbolize strength, endurance, precision, and technique. It must be simple yet powerful, unique, and recognizable. Should include an icon/symbol that represents time, motion, or fitness (e.g., clock, stopwatch, strong figure, heartbeat, or weights). The design should work well on merchandise, social media, and branding materials. Modern, clean typography that’s bold and athletic. Deliverables: ✅ High-resolution files (AI, EPS, SVG, PDF, PNG, JPG) ✅ Transparent background version ✅ Black background version ✅ White background version ✅ Favicon version (small ...

€51 Average bid
I garantuar
€51
2471 kandidaturat

...restocking decisions. Profit margins and performance reports. E-commerce Integration: Syncing online store sales with in-store operations. Seamless inventory updates between online and physical stores. Payment Processing: Support for multiple payment methods (credit/debit cards, mobile payments, etc.). Integration with third-party payment processors. Employee Management: Employee scheduling and clock-in/clock-out functions. Role-based permissions for access control (e.g., cashier, manager). Discounts & Promotions: Support for discounts, gift cards, coupons, and seasonal promotions. Automatic application of discounts based on conditions. Offline Functionality: Ability to process sales and work offline if there's a connectivity issue. For Restaurants: Order Man...

€3345 Average bid
€3345 Oferta mesatare
58 ofertat

...jumps in excitement and opens the door before the eldest can stop him. Frame 13 (3:40 - 4:00) – Wide shot as the Wolf bursts in, baring his fangs. The kids scream and scatter. Frame 14 (4:00 - 4:30) – The Wolf chases them through the house. The eldest hides under the bed, the middle one behind a curtain, and the youngest inside the clock. Frame 15 (4:30 - 5:00) – The Wolf finds the first two and eats them, but fails to find the youngest, who trembles inside the clock. Scene 4: The Mother’s Return & Revenge (5:00 - 7:00) Frame 16 (5:00 - 5:20) – The Mother Goat returns, holding a basket of food. She immediately notices the mess and drops everything in shock. Frame 17 (5:20 - 5:40) – Inside shot of the devastated house. The eldest ki...

€85 Average bid
€85 Oferta mesatare
12 ofertat

...should be able to create, update, and track their tasks. - Calendar Integration: Syncing with the user's calendar to manage deadlines and plan ahead. - Note-Taking: A built-in feature to jot down important notes or thoughts. - Clock In / Clock Out - allow for notes and photos/videos - QuickBooks Online integration - pull up estimates so that admins can convert into work order - customer list sync - items sync - clock in/out sync and available to invoices in QB - Web Interface - ability to use this as a portal as well from computers - Receipt upload - possibly with text recognition, itemization, clie...

€6756 Average bid
€6756 Oferta mesatare
139 ofertat

I'm in need of an expert developer to craft a high-quality Dragon Racing Simulator on Roblox. Key Features: - Core Gameplay: Players will train their dragons in an AFK-style and race to earn wins and unlock upgrades. - Training System: Dragons will flap their wings in floating rings to increase speed. - Racing System: Players will race against the clock, trying to get as far as possible before time runs out. - Customization & Monetization: Implementation of special dragon skins (some exclusive to Robux), upgrades, and boosts. - Fantasy-Style Map: The game should feature floating islands, volcanoes, and training zones. - Shop System: Incorporation of game passes, upgrades, and Robux purchases. Before we proceed, I would like to understand more about your experience. Have ...

€2181 Average bid
€2181 Oferta mesatare
26 ofertat
Testimonial Video Editing
Ka përfunduar left

...regret it.”* *[End with a powerful visual of John holding a “$182,000 Savings” check, smiling at the camera.]* --- ### **Visuals to Include** 1. **Introduction**: - John working as a janitor, looking stressed. - Close-up of John’s face as he talks about his struggles. 2. **Turning Point**: - John performing the 7-second ritual (visualizing, repeating affirmations). - Clock ticking to emphasize the 7 seconds. 3. **Proof**: - Blurred bank statements showing before-and-after results. - Photos of John with his family, smiling and relaxed. - Video of John volunteering at a community center. 4. **Call-to-Action**: - Text on screen: “Download Your Free eBook Now → [Link].” - John hold...

€106 Average bid
€106 Oferta mesatare
40 ofertat

...2.) Registration, to be activated and formulated with the right plug ins, allowing users to register and purchase their tickets instantly. 3.) Full event schedule, updated with the latest event schedule for Day 1 and Day 2 of the event 4.) All buttons, which need to be connected to the right URL links included 5.) Add all sponsor logos 6.) There is a countdown meter function, add the countdown clock in accordance to the date of the event 7.) Activate the tickets on the website and test to make sure people can purchase them 8.) Connect the instagram feed at the bottom of the footnote to ensure that the feed is showing. The plug in is already included, just needs to be connected and activated to ensure it works. 9.) Add keynote speakers 10.) Add clients and exhibitors in the exhib...

€37 Average bid
€37 Oferta mesatare
95 ofertat
Android App with News Alerts
Ka përfunduar left

Hi, I am looking for a very simple Android app. I want an alarm notification, similar to a clock alarm, when there is a new post. The posts are already updated and saved in the Firestore cloud database, so you just need to display the post titles that haven't been read. (The Firebase document has a "read" attribute that indicates whether a post has been read.) When the alarm notification is triggered, we should be able to mark the post as read. Once marked as read, it should be removed from the screen. The app should also be running in the background, similar as Clock app, so only internet connection is needed. If we even close the App the notification should work in case if there is any internet. The UI should only display the post title and does not need a...

€20 Average bid
€20 Oferta mesatare
34 ofertat
Windows RDP Setup for STATA
Ka përfunduar left

I need a Windows RDP configured for running STATA software. The primary use of STATA will be for statistical modeling. Key Requirements: - The RDP should be capable of supporting high processing power to handle complex models and computations. Ideal skills for this job include: - Proficiency in setting up Windows RDP environments - Experi...supporting high processing power to handle complex models and computations. Ideal skills for this job include: - Proficiency in setting up Windows RDP environments - Experience with STATA software - Knowledge of high processing power requirements for statistical modeling. The system should be having Windows 10 or 11 (64bit OS), with at least 16GB RAM and multicore processor with at least 3.0GHz clock speed. I will be the only user accessin...

€45 Average bid
€45 Oferta mesatare
3 ofertat

I'm looking for a dedicated Virtual Assistant to manage customer support via live chat around the clock. This role will be crucial in ensuring prompt and effective responses to customer inquiries and concerns, helping to enhance customer satisfaction and loyalty. Key Responsibilities: - Manage and respond to customer inquiries via live chat - Provide timely and effective solutions to customer problems Ideal Skills and Experience: - Prior experience in customer support, particularly through live chat - Excellent communication and problem-solving skills - Ability to work independently and manage time effectively

€418 Average bid
€418 Oferta mesatare
168 ofertat

I am looking to have a detailed 3d model made for 3d printing of the legs, wings, and head of this bird for building a cuckoo clock. Please use the image attached as a close reference. Final deliverable is an .STL file of only those pieces (not the clock itself). I will be printing a rather large clock (12"x 16") so you can be as detailed as possible. I need a skilled 3D modeler who can convert a 2D image of a character/figure into a high-detail .stl file for 3D printing. Key Requirements: - Transform a 2D image into a 3D model: The task involves creating a complex, high-detail character/figure based on the provided 2D image. - Adherence to approximate dimensions: The 3D model needs to be created in accordance with some specified, but not exact, size requi...

€362 Average bid
€362 Oferta mesatare
35 ofertat

... The app needs to be compatible with both Android and iOS platforms. Key Functionalities: -Start of Project: Ability to have some data pre filled by owners on the back end: name of property, address of the property, and number of anticpated units to be completed.  Ability to have employees click "start" when servicing the property. - Employee time tracking: The ability for the employees clock in and clock out each day with; a picture, GPS location, and time stamp evidence. - Unit number tracking: Each cleaning session should be linked to a specific apartment number in the apartment community with a timestamp. - Issue reporting: Employees should be able to report any issues encountered during the cleaning process with the abilit...

€413 Average bid
€413 Oferta mesatare
88 ofertat

Hi I'm seeking a designer who can create a foil design suitable for digital printing on a 4m high outdoor advertising pylon with clock. The design should be inspired by Pop-Art, and should mirror closely the aesthetic of the attached reference images. Key Requirements: - The design must incorporate the color scheme, graphic elements, and typography style of the provided examples. - Use of bold colors in a way that's typical of Pop-Art. - Incorporation of graphic elements in a similar way to the provided examples. - The ability to understand and replicate the typography style from the reference images. - A keen eye for detail to ensure the design is suitable for large scale outdoor printing. Ideal Skills and Experience: - Strong background in graphic design, ...

€356 Average bid
I cilësuar
€356 Oferta mesatare
67 ofertat

...platform. The key aspects of this project include: - A Wide Variety of Luxury Cars: The platform should offer an extensive array of sports cars and SUVs, catering to the luxury vehicle market. Creativity in expanding the range of vehicles is a plus. - Additional Services: The platform should provide additional services such as chauffeur service, car delivery to the desired location, and round-the-clock customer support. This will enhance the overall customer experience and satisfaction. Ideal candidates for this project should have: - Proven experience in developing rental platforms or similar e-commerce websites. - A strong understanding of the luxury vehicle market. - Excellent customer service orientation to integrate customer support features seamlessly into the platform....

€10 / hr Average bid
€10 / hr Oferta mesatare
120 ofertat

...pounded as he placed his ear against the walls. That’s when he heard it—breathing. Slow, ragged breathing. Coming from inside the walls. A shiver ran down his spine as he backed away. But then, the whispering stopped. For a moment, everything was eerily quiet. Too quiet. Until— BANG! A violent crash echoed through the house. The old grandfather clock in the hallway had toppled over, the glass shattered across the wooden floor. The hands of the clock were spinning wildly, faster and faster, until they stopped at 3:12 AM. Elliot had had enough. He grabbed his car keys, ready to leave. But as he reached for the doorknob, the whispers returned—only now, they weren’t whispers anymore. They were screams. "DON’T LEAVE US." The ...

€9 / hr Average bid
€9 / hr Oferta mesatare
10 ofertat

I need a digital clock removed from my screen. The task involves: - Masking out the entire digital clock - Ensuring that it is completely removed from view without leaving any trace. This is an urgent need with a high reward and credit. Please reach out! Ideal candidates for this project should have experience in digital video editing or similar fields. Please provide examples of your past work in your proposals. I can share the video with freelancers. This is for a comedy special, there’s a shot of a back of a comedian with a digital clock from the back of the room that needs to be masked out. I’m only asking for a masking job. These are composed of 4 different video clips, which they all have clocks in. These are moving shots the duration is about 5-...

€120 Average bid
Urgjent
€120 Oferta mesatare
36 ofertat

I'm looking for a skilled professional to help me turn an antique clock into a unique piece of technology. The clock should be able to play .mp3 music on demand through Bluetooth speakers, and it should also automatically trigger music on the hour, in sync with its clock mechanism. Key Requirements: - The clock's music should be controlled via a smartphone app. This app should facilitate playlist management, provide remote control for manual play, and allow for volume control. - The clock's music should also be triggered directly from the clock's hardware Ideal skills for this job would include experience in audio technology, smartphone app development, and a good understanding of electrical mechanisms. The ability to work with antique items and p...

€969 Average bid
€969 Oferta mesatare
30 ofertat

...Bot that will assist with the visa application process for Angola-Portugal. The bot should operate 24/7 on the VFS website, handling tasks like booking passport appointments, resolving OTP issues, simulating virtual keyboard inputs, and checking available slots. Key Requirements: - The bot must be able to book more than 50 passports. - It should be capable of monitoring the website around the clock for available slots. - It needs to solve OTP issues and simulate virtual keyboard inputs when necessary. - The bot must be user-friendly and efficient, ensuring a smooth and hassle-free visa application process. Ideal Skills: - Proficiency in web automation and bot development. - Familiarity with the VFS website and the visa application process. - Experience in developing user-friend...

€470 Average bid
€470 Oferta mesatare
49 ofertat
VFS Portugal Bot Development
Ka përfunduar left

...will assist with the visa application process between Angola and Portugal. The bot should operate 24/7 on the VFS website, handling tasks like booking passport appointments, resolving OTP issues, simulating virtual keyboard inputs, and checking available slots. Key Requirements: - The bot must be able to book more than 50 passports. - It should be capable of monitoring the website around the clock for available slots. - It needs to solve OTP issues and simulate virtual keyboard inputs when necessary. - The bot must be user-friendly and efficient, ensuring a smooth and hassle-free visa application process. Ideal Skills: - Proficiency in web automation and bot development. - Familiarity with the VFS website and the visa application process. - Experience in developing u...

€405 Average bid
€405 Oferta mesatare
4 ofertat

...and have decided to use a 48 MHz TCXO for the HSE clock. I’ve written a simple "Hello World" program that communicates through USART. the TCXO i used : TG2016SMN 48.0000M-MCGNNM3 Observations: When using the HSI (internal oscillator), the program works fine. When switching to the HSE (with the TCXO), the program does not work. I measured the TCXO OUTPUT SIGNAL using an oscilloscope. The waveform is shown in the attached image. value of R8 and R9 = 0 , C13 = 10 pF , C16 = 1000pF Requirements: Experience with STM32 microcontrollers. Proficiency in STM32CubeIDE and clock configurations. Knowledge of using TCXOs (Temperature Compensated Crystal Oscillators) with STM32. Ability to troubleshoot HSE clock startup issues and ensure stable clock o...

€20 / hr Average bid
€20 / hr Oferta mesatare
17 ofertat

I'm looking for a developer to create an employee attendance app with mobile authentication and GPS tracking. The app must ensure secure and accurate workforce management. Key Features: ✅ Mobile authentication options (face recognition, fingerprint, OTP-based) ✅ GPS-based clock-in/out for location verification ✅ Geofencing to restrict attendance outside designated work areas ✅ Real-time attendance reports & analytics ✅ Leave & shift management for HR teams The app should be perfect for remote teams, field workers, and offices, ensuring accurate attendance tracking with fraud prevention. Ideal Skills: - Mobile App Development (iOS & Android) - Biometric Integration - GPS & Geofencing Technology - Data Analytics - UI/UX Design Experience with similar project...

€515 Average bid
€515 Oferta mesatare
35 ofertat

I'm in search of a lead male actor for a short film. The character falls within the adult age range (20-4...a good time and a laugh with us, It will be a first for us so will be good fun! - Flexible and open to direction. We are looking to film a very short content clip (around 1 minute 30) for our social campaign for Into the Woods festival based in Chepstow. We are creating a concept of escaping the concrete jungle that will show an office worker sick of his day life, waiting and watching for the clock to hit 5:30 and then escaping to the festival site, that will, the closer he gets turn more into a tribal themed outfit and persona. Festival Name: Into the Woods Festival (Chepstow) Open to flexibility but we are working on our line up so will ideally be filmed in the nex...

€19 / hr Average bid
€19 / hr Oferta mesatare
2 ofertat

...the trees and the occasional creak of old wooden doors. But there was one shop in Everbrook that whispered secrets—a little clock shop tucked away between two towering buildings, its windows fogged with time. In the back of that shop worked an old man named Elias, known to all as the Clockmaker. He was a solitary figure, his silver hair always neatly combed, his fingers stained with oil and rust. Elias had a reputation—one that kept the curious at bay and the brave intrigued. They said his clocks didn’t just tell time; they controlled it. No one truly understood how Elias did it. He had lived in Everbrook for as long as anyone could remember, and yet, not a single clock he crafted ever seemed to age. The faces shimmered with an almost unnatural glow, an...

€17 / hr Average bid
€17 / hr Oferta mesatare
37 ofertat

I am seeking an experienced developer to create an AI-powered Customer Service Chatbot for me. The chatbot should be capable of operating round the clock to handle customer inquiries, provide automated support, and integrate seamlessly with our business platforms. Key Features: - Integration: The chatbot should integrate with our AI chatbot system. - Inquiry Handling: The bot should handle inquiries related to product information, order status, and technical support. - Issue Escalation: For more complex issues, the chatbot should notify human agents via email. This project requires a professional with a strong background in AI, chatbot development and experience with customer service applications. The ideal candidate will have a proven track record of creating efficient and user-...

€549 Average bid
€549 Oferta mesatare
37 ofertat

I'm seeking a firmware engineer to develop firmware for a microcontroller-based project. Key Requirements: - Proficiency in programming microcontrollers - Experience with firmware development - Strong problem-solving skills Although the specific microcontroller family to be used has not been decided, familiarity with various families such as AVR, PIC, or ARM Cortex-M would be beneficial. The exact functionalities of the firmware are yet to be determined, but the ideal candidate will be able to adapt and provide suggestions based on their experience.

€28 - €232
I vulosur MRS
€28 - €232
28 ofertat
Orofle SMC
Ka përfunduar left

Orofle (f...Books, Educational Products & Stationary - Gifts - Bakery Items (Cake, Biscuit, Namkeen etc.) - Food from Restaurant - Toys - Games and Sports - Pickles - Furnitures - Ayurvedic/Herbal Beauty, Skin Care Products - Purse (Ladies; Gents & Belts) - Footware, Shoes, Sleepers etc. - School, Office Bags, Suitcase etc. - BiCycle - Wall Care Products; Floor Tiles, Paints etc. - Plastic items. - Watch (Wall Clock / Wrist Watch) Additionally, - Reports would be Extra on actuals. - Payment Gateway authentication would be extra on actuals. - Delivery Cost would be extra on actuals, which will be paid by customer/user. Please suggest a good time to be able to provide more details on the same. Pricing negotiable. Sincerely, Sheetal Vaid Marketing & Business Developmen...

€67 Average bid
€67 Oferta mesatare
3 ofertat

My project is stuck due to code issues related to microcontroller communication. I need an experienced ATMEL AVR developer to debug and troubleshoot code, particularly focusing on UART communication. Ideal Skills: - Proficiency in ATMEL AVR development - Strong debugging and troubleshooting skills - Experience with UART communication Please include relevant examples of your work in your proposal, particularly any projects involving ATMEL AVR and UART.

€12 / hr Average bid
€12 / hr Oferta mesatare
9 ofertat

I'm looking for a talented illustrator to create a vector image for me. The vector image will be used for an illustration to design a Light up cinema box I would like the sign to have the clock tower from "back to the future" in the back ground with lighting electricity coming out of it and then also with the delorean going through the clock tower. and the words saying " Back to the Arcave" in the back to the future logo font. i attached some samples of image styles Key Requirements: - Proficiency in creating vector images - Strong illustration and design skills - Understanding of design principles for an adult audience Ideal Skills: - Adobe Illustrator or equivalent software expertise - Experience with retro-style illustrations - Creative think...

€80 Average bid
€80 Oferta mesatare
116 ofertat

...developer who can create a Swiss railway clock that can be overlaid on a screen, controlled via a Mini PC or Raspberry Pi. This clock is part of a home display and should come with user-selectable options and customizations. Key Requirements: - The clock should allow users to change clock face logos. - The clock should enable users to select gongs at certain times. - The clock should provide optional sounds, including user-uploaded MP3 files. - The clock should offer a changeable clock background color. - The clock should allow users to adjust the size of the clock display. - The clock should have options for setting different timezones. - The clock should include an alarm feature with customizable sou...

€234 Average bid
€234 Oferta mesatare
11 ofertat

Core Features 1. Employee Management User registration with profile setup. Role-based access control (Admin, Client, Employee). Searchable emp...employee directory with filters. 2. Notification Push notifications for important updates and announcements. 3. Task Management Task assignment and progress tracking for individuals and teams. Real-time updates on task status and deadlines. 4. Scheduling Employee shift scheduling with an interactive calendar view. Automated notifications for shift changes and approvals . 5. Time Tracking Clock-in/clock-out system with geofencing support. Timesheet management with export functionality. 6. Resource Management Secure document sharing and centralized r esource storage. We need Native apps for iOS and Android. Web backend for brow...

€11 / hr Average bid
€11 / hr Oferta mesatare
107 ofertat

I'm in need of a graphic designer to help redesign this unused Back to the Future poster (the one with the large clock) to capture more of the vibe from the original poster (the one with the DeLorean), and to make it in the dimensions of an album cover for a vinyl. I've attached both so you can see what I'm going for.

€29 Average bid
€29 Oferta mesatare
46 ofertat

I'm seeking an expert to convert some AVR ATMega 2560 code to be compatible with the ESP32. This code is primarily designed for controlling a serial device called Valentine 1 radar detector. Key Requirements: - Modify existing code from the provided GitHub repository [] to work with ESP32. - Enhance compatibility with Arduino IDE 2.x if possible. - Assist with the handling of serial packets. - Implementation of UART and rs232 communication protocols. The ideal freelancer for this project would have: - Extensive experience with both AVR ATMega 2560 and ESP32 microcontrollers. - Proficiency in Arduino IDE, particularly the 2.x version. - Strong background in working with serial devices. - Deep understanding of the UART and rs232 communication protocols. Please refer to

€70 Average bid
€70 Oferta mesatare
38 ofertat