Skip to content

Commit

Permalink
fix port names
Browse files Browse the repository at this point in the history
  • Loading branch information
pavel-demin committed Dec 27, 2014
1 parent 647b9e9 commit 7d54caf
Showing 1 changed file with 4 additions and 3 deletions.
7 changes: 4 additions & 3 deletions cfg/clocks.xdc
Original file line number Diff line number Diff line change
@@ -1,5 +1,6 @@
create_clock -period 8.000 -name adc_clk [get_ports adc_clk_p]
create_clock -period 8.000 -name adc_clk [get_ports adc_clk_p_i]

set_input_delay -clock adc_clk 3.400 [get_ports adc_data_a[*]]
set_input_delay -clock adc_clk 3.400 [get_ports adc_data_b[*]]
set_input_delay -clock adc_clk 3.400 [get_ports adc_dat_a_i[*]]
set_input_delay -clock adc_clk 3.400 [get_ports adc_dat_b_i[*]]

create_clock -period 4.000 -name rx_clk [get_ports daisy_p_i[1]]

0 comments on commit 7d54caf

Please sign in to comment.